🌿 lithos Profile Banner
🌿 lithos Profile
🌿 lithos

@lithos_graphein

Followers
8,166
Following
2,140
Media
2,460
Statuses
9,444

Semiconductors - Follow me to learn all about microchips & how we print them. My web scraper is a live dashboard for the freshest tech news & press releases.

🗽
Joined November 2022
Don't wanna be here? Send us removal request.
Explore trending content on Musk Viewer
Pinned Tweet
@lithos_graphein
🌿 lithos
27 days
🌿Fresh. ChinaTalk: ASML's scanner service & parts export licenses. @jordanschnyc
Tweet media one
4
6
55
@lithos_graphein
🌿 lithos
3 months
🇰🇷 Korean researchers fabricated a transistor with a gate length of 4 angstroms.
Tweet media one
Tweet media two
90
471
6K
@lithos_graphein
🌿 lithos
1 year
@stillgray The whole thing is made up.
37
32
5K
@lithos_graphein
🌿 lithos
11 days
TSMC Arizona is shipping wafers now.
Tweet media one
70
329
3K
@lithos_graphein
🌿 lithos
1 year
@JackPosobiec I'm not sure she realizes that Germans don't need a visa to visit the US.
24
6
2K
@lithos_graphein
🌿 lithos
1 year
@krassenstein Some lessons need to be learned the hard way.
79
8
2K
@lithos_graphein
🌿 lithos
4 months
@krassenstein He waited 4 years though.
33
16
1K
@lithos_graphein
🌿 lithos
1 year
Firey but mostly peaceful precedent.
Tweet media one
14
48
869
@lithos_graphein
🌿 lithos
2 months
Tweet media one
24
38
757
@lithos_graphein
🌿 lithos
11 months
@Timcast Anyone who watched the live streams that day knew this all along. The police were taking selfies with the protestors.
36
15
591
@lithos_graphein
🌿 lithos
1 year
@wikileaks @theintercept He wanted to be neutral and that wasn't allowed. “We are friends of Russia, and we are also friends of the United States. We are friends of China and Europe. We are not part of any alliance.”
16
51
575
@lithos_graphein
🌿 lithos
3 months
3
0
562
@lithos_graphein
🌿 lithos
11 months
@stillgray This seems like a bad solution to this problem.
98
2
506
@lithos_graphein
🌿 lithos
2 months
ASML's EUV mirrors made by Zeiss have a surface that is so flat the imperfections are measured on the picometer scale, or a trillionth of a meter. This is equivalent to keeping an area the size of California as flat as a human hair.
Tweet media one
7
87
510
@lithos_graphein
🌿 lithos
1 year
@RealJamesWoods I would've emptied the apt of heavy objects.
14
2
474
@lithos_graphein
🌿 lithos
1 year
@EdKrassen So it was a good idea then to send the $6B to Iran?
19
6
457
@lithos_graphein
🌿 lithos
1 year
@engineers_feed 97% of grant $$ receiving scientists agree.
7
3
445
@lithos_graphein
🌿 lithos
2 months
Everyone associates ASML, a relatively obscure Dutch company, with EUV Lithography, but here's a good list of the other companies also supporting this emerging market that made the AI era possible.
Tweet media one
13
102
431
@lithos_graphein
🌿 lithos
1 year
@EndWokeness Soft men make hard times.
6
7
391
@lithos_graphein
🌿 lithos
1 year
@AwakenedOutlaw This might have been a psyop on the media, not us.
14
9
376
@lithos_graphein
🌿 lithos
1 year
@NoContextHumans Way to go filming that instead of throwing out a helping hand.
30
0
384
@lithos_graphein
🌿 lithos
29 days
Not sure they thought this one through. "ASML risks losing access to the Chinese market ‘permanently’ if it implements the latest US export curbs."
Tweet media one
54
72
366
@lithos_graphein
🌿 lithos
1 month
Nvidia Blackwell. 🥲 is that 1/2 in. Copper?
Tweet media one
20
31
333
@lithos_graphein
🌿 lithos
15 days
Nice breakdown of the AI supply chain.
Tweet media one
8
62
309
@lithos_graphein
🌿 lithos
8 months
EUV scanners gobble up hydrogen. With the first high-NA EUV system shipped, we are starting to see more pictures of what an assembled system looks like. No, I'm not talking about a big white box we were used to seeing inside fabs or CAD cartoon drawings, but real pictures of the
Tweet media one
12
51
299
@lithos_graphein
🌿 lithos
1 year
@engineers_feed @JoeBiden It's real and also a brilliant marketing move.
32
1
279
@lithos_graphein
🌿 lithos
1 year
@stillgray He's a terrible swimmer based on this vid.
25
1
283
@lithos_graphein
🌿 lithos
1 year
@TRHLofficial We can start by boycotting her softball team.
1
1
270
@lithos_graphein
🌿 lithos
1 year
@ZubyMusic Didn't they copy MySpace too?
8
2
270
@lithos_graphein
🌿 lithos
2 months
“The chips team didn’t exist, now I have 200 people working for me on chips.” // “Commerce Department budget was $9 billion, now it’s $150 billion.”
Tweet media one
23
39
260
@lithos_graphein
🌿 lithos
1 year
@krassenstein I remember when walking slow down a ramp was a big deal.
5
6
250
@lithos_graphein
🌿 lithos
2 months
It took just over 3 decades and a global effort to commercialize EUV lithography.
Tweet media one
5
50
250
@lithos_graphein
🌿 lithos
11 months
@rawsalerts Open borders apparently were a fantastic idea.
39
11
237
@lithos_graphein
🌿 lithos
1 year
@Rainmaker1973 They hooked up an electric circuit to a clam. Brilliant.
2
4
239
@lithos_graphein
🌿 lithos
1 year
@stillgray Or legal to just keep driving.
6
1
224
@lithos_graphein
🌿 lithos
7 months
They've created a monster. - 4 trillion transistors - 900,000 AI cores - 125 petaflops of peak AI performance - 44GB on-chip SRAM - 5nm TSMC process - External memory: 1.5TB, 12TB, or 1.2PB - Trains AI models up to 24 trillion parameters - Cluster size of up to 2048 CS-3 systems
Tweet media one
17
44
232
@lithos_graphein
🌿 lithos
8 months
TechWar: China's Lithography Problem. China's Shanghai Micro Electronics Equipment Group Co., Ltd. (SMEE) won't be able to make a sanctions-busting immersion scanner in the next decade, and I will explain exactly why. This is not a putdown on China's technological capabilities;
Tweet media one
18
60
223
@lithos_graphein
🌿 lithos
1 year
@stillgray @elonmusk The biggest winner is Amazon in all this.
15
13
206
@lithos_graphein
🌿 lithos
11 months
@johnrich It's our family. That's what holds us back.
9
2
213
@lithos_graphein
🌿 lithos
11 months
@WholeMarsBlog She looks like AI. Perfect fit.
0
1
198
@lithos_graphein
🌿 lithos
4 months
Tesla's full wafer super computer chip. Harvested by TSMC in Arrakis.
@WholeMarsBlog
Whole Mars Catalog
4 months
Dojo Training wafer $TSLA
Tweet media one
45
102
1K
8
17
206
@lithos_graphein
🌿 lithos
3 months
@costisint I believe it was a molybdenum disulfide crystal.
1
0
207
@lithos_graphein
🌿 lithos
1 year
@krassenstein Is it defamatory if it's true?
27
2
198
@lithos_graphein
🌿 lithos
21 days
Why did SRAM scaling stall at the 3nm node?
Tweet media one
10
18
200
@lithos_graphein
🌿 lithos
1 year
@ChuckCallesto Publish the names.
2
6
181
@lithos_graphein
🌿 lithos
8 months
👍🏽
Tweet media one
9
31
201
@lithos_graphein
🌿 lithos
7 months
This is not a sci-fi movie. Scenes from ZEISS Semiconductor Optics in Germany—making the key lens components for today's digital Spice Harvesters.
Tweet media one
Tweet media two
Tweet media three
8
27
192
@lithos_graphein
🌿 lithos
1 year
@rawsalerts It's a good resume builder for Senate Foreign Relations Committee Chair. International experience.
3
2
186
@lithos_graphein
🌿 lithos
10 months
TSMC's Complimentary Field Effect Transistors (CFETs)—some of the geometries in this image are on the single-digit nanometer scale. You could fit about 150 of these cubes inside a red blood cell and each one contains 24 transistors. That's a total of 3600, litho frens can check
Tweet media one
7
31
184
@lithos_graphein
🌿 lithos
1 year
@RealJamesWoods I read this as the dinner prayer every Thanksgiving. It's timeless.
2
11
177
@lithos_graphein
🌿 lithos
1 year
@JackPosobiec Kindergarteners take the train to school on their own there. It's the safest place on Earth.
7
2
167
@lithos_graphein
🌿 lithos
1 year
Tweet media one
2
7
168
@lithos_graphein
🌿 lithos
1 year
@WallStreetSilv VaxNado beats SharkNado by a mile.
6
2
159
@lithos_graphein
🌿 lithos
9 months
@engineers_feed Oscillating multi-tool. It's very useful for many jobs.
4
1
168
@lithos_graphein
🌿 lithos
2 months
LAM just dropped a whitepaper on scaling Flash Memory to 1000+ layers using Cryogenic Dry Etch processing. That's an impressive SEM image at the right. Flawless.
Tweet media one
Tweet media two
10
28
173
@lithos_graphein
🌿 lithos
3 months
@riskrewarded Yeah, we call them fabs in semi world. As in fabrication plants.
1
0
171
@lithos_graphein
🌿 lithos
1 year
@stillgray He will always beat scissors now.
12
0
160
@lithos_graphein
🌿 lithos
15 days
TSMC, a relatively obscure Dutch company.
Tweet media one
20
7
165
@lithos_graphein
🌿 lithos
1 year
@POTUS Could you pls freeze that $6B to Iran. It might help.
16
3
154
@lithos_graphein
🌿 lithos
2 months
State of the Art Lithography. Fresh High-NA EUV results from imec/ASML. These are 9.5 nanometer lines here, after pattern xfer into (presumably) a hardmask like SiN or SOG. It's tone inverted for metal, so I'd guess a negative Metal Oxide Photoresist was used. This was done with
Tweet media one
8
24
162
@lithos_graphein
🌿 lithos
28 days
First on-chip laser array: Photonics chips have limited scalability bc they currently need an external light source. This is a way around that barrier. These nanoscale lasers are only 400nm wide. Impressive SEM image! 😍
Tweet media one
Tweet media two
7
29
162
@lithos_graphein
🌿 lithos
2 months
Behold, the Quantum Compass.
Tweet media one
Tweet media two
8
17
155
@lithos_graphein
🌿 lithos
1 month
Rumor has it ASML will stop servicing Chinese immersion scanners below (in red) starting next year. Some of them are >10 years old already. That's a huge number of tools and it can't be allowed to happen given the dollar value we are talking about here. ASML will have to offer a
Tweet media one
17
27
151
@lithos_graphein
🌿 lithos
1 year
@engineers_feed 12. If you're doing it twice, automate it.
9
5
145
@lithos_graphein
🌿 lithos
1 year
4
2
144
@lithos_graphein
🌿 lithos
2 months
AI-era Marvels: ASML's mag-lev stages can accelerate up to 15G. For comparison, modern fighter jets operate no greater than 10G. These tools are used to pattern chip components on the nanometer scale. At these speeds, the stages are still capable of maintaining a positional
10
26
147
@lithos_graphein
🌿 lithos
1 year
@DC_Draino >Ukraine funding is all but dead Lol
12
5
138
@lithos_graphein
🌿 lithos
8 months
40 years ago 🇺🇸GCA, 🇯🇵Nikon and 🇺🇸Ultratech dominated the advanced lithography tool market. 🇳🇱ASML didn't even exist. Now they own it all.
Tweet media one
9
45
141
@lithos_graphein
🌿 lithos
1 year
What does it mean to "tape out" a microchip? Tape out refers to making the master circuit patterns that are used to mass-produce semiconductor devices. This lingo originally referred to the large spools of tape (at left) that stored all the data that would write the image of the
Tweet media one
Tweet media two
Tweet media three
2
8
135
@lithos_graphein
🌿 lithos
1 year
@EdKrassen Long overdue. 🙏🏽
Tweet media one
2
5
134
@lithos_graphein
🌿 lithos
1 year
@ZubyMusic They told us it was just gonna be two weeks to flatten the curve.
14
1
128
@lithos_graphein
🌿 lithos
1 year
@DC_Draino Justice delayed is justice denied.
6
6
130
@lithos_graphein
🌿 lithos
7 months
Nanoimprint finds a real semiconductor application. Micron shared a talk yesterday on how NIL could be used for certain DRAM layers. They set this up by showing a trend where what's called "chop" layers are increasing for DRAM nodes and going below the resolution for immersion
Tweet media one
7
30
135
@lithos_graphein
🌿 lithos
2 months
imec demonstrates Copper Hybrid Wafer Bonding down to 400nm pitch interconnect. That'd be about 6.2 million connections per square millimeter. Impressive! It looks like the placement error is on the order of +/- 50nm.
Tweet media one
Tweet media two
6
25
135
@lithos_graphein
🌿 lithos
1 year
@stillgray Way to go Japan.
1
0
122
@lithos_graphein
🌿 lithos
1 year
@DogRightGirl @georgelopez smallest blur box ever
3
2
115
@lithos_graphein
🌿 lithos
1 year
@DogRightGirl @DC_Draino It's called walrus toe.
8
2
112
@lithos_graphein
🌿 lithos
2 months
🌎 Litho World Record. Researchers in Switzerland used an EUV test system to pattern down to the 6 nanometer half pitch. They used an optical trick called interference lithography, so it's not commercially viable. This method has been used to test the resolution of photoresist
Tweet media one
6
18
124
@lithos_graphein
🌿 lithos
1 year
@dom_lucre I just have a little sand in my eyes, that's all.
1
0
113
@lithos_graphein
🌿 lithos
3 months
@IHateThouSoMuch This was just proof of concept.
1
0
123
@lithos_graphein
🌿 lithos
1 year
@amuse @SpeakerMcCarthy Not surprised at all by this.
0
2
117
@lithos_graphein
🌿 lithos
7 months
Nvidia designs chip. TSMC makes chip. TSMC sells chip. TSMC buys chip back to make more chips. What a great business model.
Tweet media one
5
18
120
@lithos_graphein
🌿 lithos
2 months
TI gets $1.6 billion to build 3 new fabs.
Tweet media one
8
14
117
@lithos_graphein
🌿 lithos
7 months
Intel posted the high-NA EUV tool install video they shared at SPIE last week. This is the last frame showing what's been installed (in green).
Tweet media one
5
17
115
@lithos_graphein
🌿 lithos
3 months
🌿 Fresh. Tokyo Electron just launched their version of the EUV pattern shaping system (Acrevia) to compete with Applied Material's (Sculpta) tool.
Tweet media one
Tweet media two
5
20
117
@lithos_graphein
🌿 lithos
1 year
@DC_Draino I remember when a toilet overflowing in Georgia didnt affect the entire state of Alaska.
0
2
108
@lithos_graphein
🌿 lithos
7 months
This is a new image of the Velhoven High-NA EUV tool assembly that I just saw today. It was in a Tom's Hardware article crediting ASML. It shows the ASML team performing the last part of the installation, which is dropping the upper part of the tool with the cleanroom crane. The
Tweet media one
6
18
114
@lithos_graphein
🌿 lithos
2 months
This is an electrical switch that is a single molecule just 1 nanometer in size. Fabricated by the Max-Planck Society in Berlin.
Tweet media one
Tweet media two
5
15
115
@lithos_graphein
🌿 lithos
2 months
SK Hynix will begin shipping 400 layer NAND Flash Memory next year using hybrid wafer bonding. The described method patterns the memory cell and peripheral circuitry on different wafers, then bonds them together in the packaging process.
Tweet media one
Tweet media two
4
12
113
@lithos_graphein
🌿 lithos
14 days
You don't say.
Tweet media one
6
11
112
@lithos_graphein
🌿 lithos
2 months
How ASML became #1 : Printing Economics. The lens system inside a scanner is the single most expensive tool component in a semiconductor fab. Back in the early 2000s, ASML released their TwinScan platform to address the growing need to maximize a fab's investment in scanner
Tweet media one
5
19
112
@lithos_graphein
🌿 lithos
2 months
We're so back. Intel boots first 18A chip.
Tweet media one
Tweet media two
6
7
111
@lithos_graphein
🌿 lithos
1 year
@engineers_feed A binder sleeve.
0
0
108
@lithos_graphein
🌿 lithos
1 month
👀 "new EUV material improves productivity by 30%"
Tweet media one
5
16
108
@lithos_graphein
🌿 lithos
1 month
xMEMS just released the first fan-on-chip micromachine for cooling mobile device chips. It is just 1 mm thick, makes no sounds, and can be top- or side-ventilated.
3
19
110
@lithos_graphein
🌿 lithos
2 months
🇯🇵 Lasertec's new high-NA EUV mask inspection tool will also use a larger anamorphic lens to match 🇳🇱 ASML's scanner. Source: August 12th, 🇰🇷 Samsung Electronics, Dr. Min Cheol-gi's presentation slides at the 2024 Next Generation Lithography + Patterning Conference in Suwon,
Tweet media one
4
19
108
@lithos_graphein
🌿 lithos
7 months
Intel published 450Mb of detailed plans for their Magdeburg, Germany, site online. Fab 29.1 and Fab 29.2 will be high-NA EUV capable fabs with an operational start in Q4 2027.
Tweet media one
5
17
107
@lithos_graphein
🌿 lithos
1 year
🍎 Fresh. @intheMatrixxx @The_AuthorityQ @GusQuixote @ElizabethPDove @cpage86_ Well well well. Look what came across my feed. The FBI just declassified their investigation into Q.
Tweet media one
17
56
100
@lithos_graphein
🌿 lithos
10 months
@engineers_feed Not a great start to a salary negotiation though.
0
0
103
@lithos_graphein
🌿 lithos
2 months
🤔
Tweet media one
Tweet media two
9
9
105
@lithos_graphein
🌿 lithos
4 months
I like how we're now adding the EUV tool into these charts but what's needed is the patterning flow that goes with it. SADP + Cut, LELE, etc.
Tweet media one
4
18
105